海川化工论坛-海川网-【化海川流】

用户名账户登录  

用户名密码方式登录

QQ登录

只需一步,快速开始

微信登录

手机微信,扫码同步

  企业会员★↓【品牌】     统计
信息推荐  -  【 品牌 

[控制系统] 有谁对浙大中控的st和scx语言比较了解啊

[复制链接]
6003 |13
阅读字号:
jackysheng8832VIP会员 VIP会员 | 显示全部楼层 |阅读模式       最后访问IP浙江省
头衔:  TA暂未设置 

服务2亿工业人 [化海川流] 一键加入

您需要 登录 才可以下载或查看,没有账号?注册

x
有那位大虾对浙大中控的st和scx编程比较了解啊,能帮帮小弟么,谢谢拉,本来qq489649334

 

发表于 2012-2-9 14:20:43

评分

2

查看全部评分

声明:

本站是提供个人知识管理及信息存储的网络存储空间,所有内容均由用户发布,不代表本站观点。

请注意甄别主题及回复内容中的联系方式、诱导购买等信息,谨防诈骗。

当前内容由会员用户名 jackysheng8832 发布!权益归其或其声明的所有人所有 仅代表其个人观点,仅供学习交流之用。

本主题及回复中的网友及版主依个人意愿的点评互动、推荐、评分等,均不代表本站认可其内容或确认其权益归属,

如发现有害或侵权内容,可联系我站举证删除,我站在线客服信息service@hcbbs.com 电话188-4091-1640 

afei831017VIP会员 VIP会员 | 显示全部楼层       最后访问IP四川省
头衔:  TA暂未设置  已绑手机  
浙大中控,我有工程实例,可以传给你学习下

 

发表于 2012-2-9 14:44:20

点评

能传给我看下吗?谢谢 359071349@qq.com  发表于 2023-1-17 00:47

 

 

 

Peterpaul彼得保罗
回复

使用道具 举报

dengwen925VIP会员 年费VIP会员 | 显示全部楼层       最后访问IP四川省
头衔:  TA暂未设置  已绑手机  
你发的帖子不算问题,把问题写上来才能让大家帮你啊

 

发表于 2012-2-9 18:17:51

回复

使用道具 举报

aku867606VIP会员 VIP会员 | 显示全部楼层       最后访问IP江苏省
头衔:  TA暂未设置  已绑手机  
提示: 作者被禁止或删除 内容自动屏蔽
回复

使用道具 举报

jackysheng8832楼主 VIP会员 VIP会员 | 显示全部楼层       最后访问IP浙江省
头衔:  TA暂未设置 
afei831017 发表于 2012-2-9 14:44
浙大中控,我有工程实例,可以传给你学习下

谢谢拉,可以发我邮箱jackysheng8832@sina.com

 

发表于 2012-2-10 12:45:37

回复

使用道具 举报

dengwen925VIP会员 年费VIP会员 | 显示全部楼层       最后访问IP四川省
头衔:  TA暂未设置  已绑手机  
jackysheng8832 发表于 2012-2-10 12:45
谢谢拉,可以发我邮箱jackysheng8832@sina.com

你可以把你的程序传上来让大家帮你看看,有什么问题大家一起讨论解决。
想找资料的话,在论坛里面搜一下,很多的。

 

发表于 2012-2-16 20:20:25

回复

使用道具 举报

LAN810VIP会员 VIP会员 | 显示全部楼层       最后访问IP上海市
头衔:  TA暂未设置 
afei831017 发表于 2012-2-9 14:44
浙大中控,我有工程实例,可以传给你学习下

你好!能不能发一份给我,谢谢email:sunsheep111@sina.com

 

发表于 2012-2-17 11:34:32

回复

使用道具 举报

whwglpVIP会员 VIP会员 | 显示全部楼层       最后访问IP江西省
头衔:  TA暂未设置 
1、st用于程序段做功能块
2、用scx编程,主要是在JX-300x以前的系统较多,原因是SCX所占容量较小(占主控卡CPU的),后期基本不用scx编制程序,SCX编的程序调试时没办法看得到;如果你是后期的中控系统,可以转化;
3、作为非专业选手,在线更改SCX程序,你要先做好备份,否则你都不知道你错在什么位置;更改SCX程序,最好小范围更改后就编译一遍,以提高实效性。
4、用SCX、ST编制程序,你最好把说明写清楚一些,这样便于你对实施控制的了解;

 

发表于 2012-2-17 13:48:49

评分

2

查看全部评分

回复

使用道具 举报

zmr870303VIP会员 VIP会员 | 显示全部楼层       最后访问IP山东省
头衔:  TA暂未设置  已绑手机  
afei831017 发表于 2012-2-9 14:44
浙大中控,我有工程实例,可以传给你学习下

给我发份可以吧,我也想学下,现在只能做维护修改,好想自己编程啊zmr870303@126.com

 

发表于 2012-4-1 21:56:07

回复

使用道具 举报

nihaocarter | 显示全部楼层       最后访问IP山东省
头衔:  TA暂未设置  已绑手机   已实名认证  
afei831017 发表于 2012-2-9 14:44
浙大中控,我有工程实例,可以传给你学习下

我的邮箱nihaocarter@163.com  谢谢  给我一份                          

 

发表于 2012-4-2 08:17:42

回复

使用道具 举报

denghlVIP会员 VIP会员 | 显示全部楼层       最后访问IP浙江省
头衔:  TA暂未设置  已绑手机  
以实例说明问题,细节大家再去琢磨:
1、ST语言。以下为浙江中控ECS-100系统实现站间变量传送的模块fbtransoutto2的语句:
}IU71J{O7[6`OHPD5U(`PT1.jpg
右侧完整的语句为:
FUNCTION fbtransoutto2:BOOL(*开关量数据传送1#控制站数据传送到2#控制站BOOL量输入*)
VAR_INPUT
IN1:BOOL;
IN2:BOOL;
IN3:BOOL;
IN4:BOOL;
IN5:BOOL;
IN6:BOOL;
IN7:BOOL;
IN8:BOOL;
IN9:BOOL;
IN10:BOOL;
IN11:BOOL;
IN12:BOOL;
IN13:BOOL;
IN14:BOOL;
IN15:BOOL;
IN16:BOOL;
MSG_NO:INT;(*需发送数据序号g_msg*)
SERIAL:BOOL;(*ON前16位,OFF后16位*)
END_VAR
VAR

NUM:UINT;
DATA: DWORD;
END_VAR
IF(SERIAL)THEN
NUM=0;
ELSE
NUM=16;
END_IF;
DATA=SETBIT(DATA,IN1,0+NUM);
DATA=SETBIT(DATA,IN2,1+NUM);
DATA=SETBIT(DATA,IN3,2+NUM);
DATA=SETBIT(DATA,IN4,3+NUM);
DATA=SETBIT(DATA,IN5,4+NUM);
DATA=SETBIT(DATA,IN6,5+NUM);
DATA=SETBIT(DATA,IN7,6+NUM);
DATA=SETBIT(DATA,IN8,7+NUM);
DATA=SETBIT(DATA,IN9,8+NUM);
DATA=SETBIT(DATA,IN10,9+NUM);
DATA=SETBIT(DATA,IN11,10+NUM);
DATA=SETBIT(DATA,IN12,11+NUM);
DATA=SETBIT(DATA,IN13,12+NUM);
DATA=SETBIT(DATA,IN14,13+NUM);
DATA=SETBIT(DATA,IN15,14+NUM);
DATA=SETBIT(DATA,IN16,15+NUM);
g_msg[MSG_NO]=DATA;

END_FUNCTION

对该模块定义后,这是在FBD中用到的这个模块:
{)P`(NKS6QQDYN@OL(0HN.jpg

 

发表于 2012-4-2 11:02:24

评分

1

查看全部评分

回复

使用道具 举报

denghlVIP会员 VIP会员 | 显示全部楼层       最后访问IP浙江省
头衔:  TA暂未设置  已绑手机  
2、这是使用FW244通信卡件与三台SIEMENS S7-200同时进行MODBUS通信的实例。SCX语言的指令如下:

//通讯:螺杆机通讯;波特率为9600;地址1,无校验;数据位8位,停止位1位,MODBUS通讯方式
int phase; //加上相位,使SCX语言程序在一个周期内只执行一条readholdingreg
int error;// 语句,出错后还要停两个周期不做收发,一般一个周期内只能连
main()    //续执行三条收发语句,建议一个周期内只执行一条,周期可改。
{        //加上相位,使SCX语言程序在一个周期内只执行一次收发命令
        int i;
        int a[40];
        int ab[40];

        int b[90];
        int bb[90];
       
        int c[90];
        int cb[90];

        float temp1;

        if((phase<0) OR (phase > 4))
        {
                phase = 0;
        }
       
        setcomm(9600,0);
        if(phase == 1 )//
        {
               
                setdelaytime(200);
                _TAG("return101")= readholdingreg(1,0,30,a);//读 (下位机地址1,寄存器起始地址0)模拟量
                if (_TAG("return101")==0)
                {
                        for (i=0;i<40;i=i + 1)
                        {

                        ab[i]=a[i];
                       
                        }
                        _TAG("PIA501001")=ab[0];
                        _TAG("PIA501008")=ab[1];
                        _TAG("PIA501003")=ab[2];
                        _TAG("PIA503001")=ab[3];
                        _TAG("PIA502001")=ab[4];
                        _TAG("ZIA505001")=ab[5];
                        _TAG("ZIA505002")=ab[6];
                        _TAG("IIA503001")=ab[7];
                        _TAG("TIA501001")=ab[8];
                        _TAG("TIA501002")=ab[10];
                        _TAG("TIA501003")=ab[11];
                        _TAG("TIA501004")=ab[12];
                        _TAG("TIA501005")=ab[13];
                        _TAG("TIA503001")=ab[14];
                        _TAG("TIA503008")=ab[15];
                        _TAG("TIA503006")=ab[16];
                        _TAG("TIA503007")=ab[17];
                        _TAG("TIA503002")=ab[18];
                        _TAG("TIA503003")=ab[19];
                        _TAG("TIA503004")=ab[20];
                        _TAG("TIA503005")=ab[21];
                        _TAG("SIA")=ab[22];
                        _TAG("PIA501002")=ab[24];
                        _TAG("AIA501001")=ab[25];       
                }
       
        }
       
        if(phase == 2 )//
        {
               
                setdelaytime(200);
                _TAG("return201")= readholdingreg(2,0,30,b);//读 (下位机地址2,寄存器起始地址0)模拟量
                if (_TAG("return201")==0)
                {
                        for (i=0;i<40;i=i + 1)
                        {

                        bb[i]=b[i];
                       
                        }
                        _TAG("PIB501001")=bb[0];
                        _TAG("PIB501008")=bb[1];
                        _TAG("PIB501003")=bb[2];
                        _TAG("PIB503001")=bb[3];
                        _TAG("PIB502001")=bb[4];
                        _TAG("ZIB505001")=bb[5];
                        _TAG("ZIB505002")=bb[6];
                        _TAG("IIB503001")=bb[7];
                        _TAG("TIB501001")=bb[8];
                        _TAG("TIB501002")=bb[10];
                        _TAG("TIB501003")=bb[11];
                        _TAG("TIB501004")=bb[12];
                        _TAG("TIB501005")=bb[13];
                        _TAG("TIB503001")=bb[14];
                        _TAG("TIB503008")=bb[15];
                        _TAG("TIB503006")=bb[16];
                        _TAG("TIB503007")=bb[17];
                        _TAG("TIB503002")=bb[18];
                        _TAG("TIB503003")=bb[19];
                        _TAG("TIB503004")=bb[20];
                        _TAG("TIB503005")=bb[21];
                        _TAG("SIB")=bb[22];
                        _TAG("PIB501002")=bb[24];
                        _TAG("AIB501001")=bb[25];               
                }
       
        }

if(phase == 3 )//
        {
               
                setdelaytime(200);
                _TAG("return301")= readholdingreg(3,0,30,c);//读 (下位机地址3,寄存器起始地址0)模拟量
                if (_TAG("return301")==0)
                {
                        for (i=0;i<40;i=i + 1)
                        {

                        cb[i]=c[i];
                       
                        }
                        _TAG("PIC501001")=cb[0];
                        _TAG("PIC501008")=cb[1];
                        _TAG("PIC501003")=cb[2];
                        _TAG("PIC503001")=cb[3];
                        _TAG("PIC502001")=cb[4];
                        _TAG("ZIC505001")=cb[5];
                        _TAG("ZIC505002")=cb[6];
                        _TAG("IIC503001")=cb[7];
                        _TAG("TIC501001")=cb[8];
                        _TAG("TIC501002")=cb[10];
                        _TAG("TIC501003")=cb[11];
                        _TAG("TIC501004")=cb[12];
                        _TAG("TIC501005")=cb[13];
                        _TAG("TIC503001")=cb[14];
                        _TAG("TIC503008")=cb[15];
                        _TAG("TIC503006")=cb[16];
                        _TAG("TIC503007")=cb[17];
                        _TAG("TIC503002")=cb[18];
                        _TAG("TIC503003")=cb[19];
                        _TAG("TIC503004")=cb[20];
                        _TAG("TIC503005")=cb[21];
                        _TAG("SIC")=cb[22];
                        _TAG("PIC501002")=cb[24];
                        _TAG("AIC501001")=cb[25];               
                }
       
        }

                _TAG("phase101")=phase;
        phase = phase + 1;       
}

 

发表于 2012-4-2 16:33:23

评分

1

查看全部评分

回复

使用道具 举报

363168862VIP会员 VIP会员 | 显示全部楼层       最后访问IP江苏省
头衔:  TA暂未设置  已绑手机  
whwglp 发表于 2012-2-17 13:48
1、st用于程序段做功能块
2、用scx编程,主要是在JX-300x以前的系统较多,原因是SCX所占容量较小(占主控卡 ...

不错不错不错 不错不错不错 不错不错不错 不错不错不错

 

发表于 2012-4-2 19:08:17

回复

使用道具 举报


          特别提示:

          本站系信息发布平台,仅提供信息内容存储服务。

          包括但不限于:不能公开传播或无传播权、禁止分享的出版物、涉密内容等
          不听劝告后果自负!造成平台或第三方损失的,依法追究相关责任。

          请遵守国家法规;不要散播涉爆类、涉黄毒赌类、涉及宗教、政治议题、谣言负面等信息   

     

您需要登录后才可以回帖 登录 | 注册

本版积分规则

积分获取

财富分获取方法

[签到][参与互动][每月建设者加分]

[他人给于的评分]

海川分获取方法

[每月建设者达标后加分]

[他人给于的评分]

  企业会员★↓【品牌】     统计
服务联系
微信关注
回到顶部

 

关于我们  -  隐私协议    -  网站声明   -  个人名片   -  企业会员   -  个人会员  -  记录统计

专家智库  -  海川市场    -  众包悬赏   -  分类信息   -  视频学课   -  在线计算  -  单位换算


海川网不良信息举报电话   0411-88254066     举报信箱   service@hcbbs.com     举报中心


客服#  18840911640【微信同号】   信箱   hcbbs.com@qq.com   【点我QQ联系海川客服】   

平台统计:        GMT+8, 2024-4-28 02:17

化海川流(hcbbs#2004) @Discuz! X3 . 0.438217 second(s), 95 queries , Redis On.

辽公网安备21100302203002号  | 辽ICP备17009251号  |  辽B2证-20170197